BJ-EPM CPLD入門套件VHDL例程1
所屬分類:源代碼
上傳者:ilove314
文檔大小:0 K
標(biāo)簽: CPLD
所需積分:0分積分不夠怎么辦?
文檔介紹:library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity CLKDIV is port ( Clk : in STD_LOGIC; --50MHz輸入時(shí)鐘 Rst_n : in STD_LOGIC; --低電平復(fù)位信號 Clk_div : out STD_LOGIC --分頻信號,連接到蜂鳴器 ); end entity CLKDIV; --20bit計(jì)數(shù)器循環(huán)計(jì)數(shù) architecture COUNTER OF CLKDIV is signal cnt20b : STD_LOGIC_VECTOR (19 downto 0); --20bit計(jì)數(shù)器 begin process (Clk,Rst_n) begin if Rst_n = '0' then cnt20b <= x"00000"; elsif Clk'event AND Clk = '1' then cnt20b <= cnt20b+"1"; --分頻計(jì)數(shù) end if; end process; Clk_div <= cnt20b(19); --分頻賦值 end architecture COUNTER;
現(xiàn)在下載
VIP會(huì)員,AET專家下載不扣分;重復(fù)下載不扣分,本人上傳資源不扣分。
主站蜘蛛池模板: 久草资源站在线| 区二区三区四区免费视频| caoporn97在线视频| 日本高清视频色wwwwww色| 亚洲精品电影在线| 自拍欧美在线综合另类| 国产精品一区二区三| jizzyou中国少妇| 日本乱码视频a| 亚洲宅男天堂在线观看无病毒| 精品欧美一区二区三区在线观看 | 高清中文字幕视频在线播| 图片区亚洲色图| 中国内地毛片免费高清| 欧乱色国产精品兔费视频| 在线免费观看日韩视频| 久久www视频| 欧美性猛交xxxx| 免费人成在线观看网站品爱网日本 | 全免费毛片在线播放| 香瓜七兄弟第二季| 国产精品电影一区| jizz性欧美12| 扒开女人双腿猛进入爽爽视频| 亚洲av无码精品国产成人| 狠狠97人人婷婷五月| 国产精品国产三级国产在线观看| 一边摸一边桶一边脱免费视频 | 香蕉97超级碰碰碰碰碰久| 国产精品亲子乱子伦xxxx裸| a级毛片高清免费视频就| 成人精品一区二区激情| 久久婷婷五月综合97色| 欧美三级在线观看不卡视频| 亚洲老妈激情一区二区三区 | 女人与公拘交酡过程高清视频| 亚洲国产一区二区三区在线观看| 男生吃女生的jiojio| 四虎永久地址4hu2019| 亚洲午夜国产精品无码老牛影视| 国产精品99久久久久久人|