BJ-EPM CPLD入門套件VHDL例程1
所屬分類:源代碼
上傳者:ilove314
文檔大小:0 K
標簽: CPLD
所需積分:0分積分不夠怎么辦?
文檔介紹:library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity CLKDIV is port ( Clk : in STD_LOGIC; --50MHz輸入時鐘 Rst_n : in STD_LOGIC; --低電平復位信號 Clk_div : out STD_LOGIC --分頻信號,連接到蜂鳴器 ); end entity CLKDIV; --20bit計數器循環計數 architecture COUNTER OF CLKDIV is signal cnt20b : STD_LOGIC_VECTOR (19 downto 0); --20bit計數器 begin process (Clk,Rst_n) begin if Rst_n = '0' then cnt20b <= x"00000"; elsif Clk'event AND Clk = '1' then cnt20b <= cnt20b+"1"; --分頻計數 end if; end process; Clk_div <= cnt20b(19); --分頻賦值 end architecture COUNTER;
現在下載
VIP會員,AET專家下載不扣分;重復下載不扣分,本人上傳資源不扣分。
主站蜘蛛池模板: 国产精品三级av及在线观看| 精品国产v无码大片在线观看| 日韩欧美中文字幕出| 免费观看黄a一级视频日本| 99久久精品这里只有精品| 日本理论片午午伦夜理片2021 | Channel| 欧美性视频在线播放黑人| 国产成人免费网站app下载| jealousvue熟睡入侵中| 日本高清乱码中文字幕| 午夜dj在线观看免费高清在线| 亚洲激情综合网| 天天做天天躁天天躁| 亚洲免费网站观看视频| 蜜芽.768.忘忧草二区老狼| 国产肥老上视频| 一级毛片一级毛片免费毛片| 波多野结衣一区二区三区四区| 国产一区日韩二区欧美三区| xxxxwwww中国| 天堂网www资源在线| 中文字幕第十页| 男Ji大巴进入女人的视频| 国产精品99久久免费| jjzz在线观看| 极品艳短篇集500丝袜txt| 伦理eeuss| 老司机天堂影院| 国产成人无码av在线播放不卡| 91啦视频在线| 女m羞辱调教视频网站| 亚州三级久久电影| 美女被羞羞网站免费下载| 国产欧美久久一区二区| 一级毛片国产**永久在线| 日韩欧美亚洲天堂| 亚洲国产综合人成综合网站00| 菠萝蜜视频入口| 国产真实伦视频在线观看| 999久久久免费精品播放|